Get Mystery Box with random crypto!

Verilog-HDL/SystemVerilog/Bluespec support for VS Code Featu | 𝐅𝐏𝐆𝔸𝐒𝐈𝐂

Verilog-HDL/SystemVerilog/Bluespec support for VS Code

Features
Syntax Highlighting
◦ Verilog
◦ SystemVerilog
◦ Bluespec SystemVerilog
◦ Vivado UCF constraints
◦ Synopsys Design Constraints
Simple Snippets
Linting support from:
◦ Icarus Verilog
◦ Vivado Simulation
◦ Modelsim
◦ Verilator
Ctags Integration
◦ Autocomplete
◦ Document Symbols Outline
◦ Hover over variable declaration
◦ Go to Definition & Peek Definition
◦ Module Instantiation

https://github.com/mshr-h/vscode-verilog-hdl-support

#VScode #MS #verilog #SV #bluespec #lint snippets #syntax #icarus #modelsim #verilator
@fpgasic